Please wait a minute...
浙江大学学报(工学版)  2018, Vol. 52 Issue (9): 1796-1803    DOI: 10.3785/j.issn.1008-973X.2018.09.021
电子通信技术     
面向宽电压应用的容错时钟门控单元设计
朱涛涛1, 项晓燕2, 陈晨2, 孟建熠2, 严晓浪1
1. 浙江大学 电气工程学院, 浙江 杭州 310027;
2. 复旦大学 微电子学院, 上海 201203
Timing error resilient clock gate design for wide-voltage application
ZHU Tao-tao1, XIANG Xiao-yan2, CHEN Chen2, MENG Jian-yi2, YAN Xiao-lang1
1. College of Electrical Engineering, Zhejiang University, Hangzhou 310027, China;
2. College of Microelectronics, Fudan University, Shanghai 201203, China
 全文: PDF(1500 KB)   HTML
摘要:

为了将时钟门控技术应用于时序容错系统中,提出具备时序错误检测与自纠正能力的时钟门控单元.该单元通过监测内部虚拟节点电压变化,得到数据晚到信息;利用该监测信息可以重新打开时钟树网络,完成时钟被错误关断情形的当前周期自主现场纠错.给出容错时钟门控单元在现有的多种时钟门控技术中的适用性分析,讨论与之对应的纠错方案选择策略.基于SMIC 40 nm LL工艺库,仅新增12个额外的晶体管实现该单元,从原理图和版图2个层面,对其在宽电压工作下的容错能力进行分析验证,并给出集成到系统设计时所需的时序检查方法.将该单元应用于一款商用处理器C-SKY CK802物理设计中,实验结果表明系统能效相对于传统设计提高了64.7%,而时钟树功耗相对于现有的容错设计下降了32%.

Abstract:

A clock gate cell with timing error detection and self-correction mechanism was proposed to introduce clock gating technique to error resilient system. Data's late arriving information was obtained through monitoring the voltage change of the inner virtual node, with which the clock network was enabled again and the in-field error correction current cycle when the clock was turned off by mistake was accomplished. Meanwhile, the applicability in different clock gating techniques was discussed with the corresponding error correction strategy. Based on SMIC 40 nm LL library, the cell only required twelve additional transistors compared to the traditional one. The ability of error resilience in wide-voltage operation is verified through the schematic layout; the timing constraints analysis method in the cell integration is given. It is embedded into a commercial C-SKY CK802 processor and the simulation results show that energy efficiency improves by 64.7% compared with traditional design; clock tree power decreases by 32% over current error resilient design.

收稿日期: 2017-02-14 出版日期: 2018-09-20
CLC:  TN432  
基金资助:

国家“863”高技术研究发展计划资助项目(2015AA016601);上海市自然科学基金资助项目(15ZR1402700)

通讯作者: 项晓燕,女,讲师.orcid.org/0000-0002-5602-2749.     E-mail: 项晓燕,女,讲师.orcid.org/0000-0002-5602-2749.E-mail:xiangxy@fudan.edu.cn
作者简介: 朱涛涛(1990-),男,博士生.从事处理器架构与低功耗时序容错电路研究.orcid.org/0000-0002-6653-1010.E-mail:zhutaotao@zju.edu.cn
服务  
把本文推荐给朋友
加入引用管理器
E-mail Alert
作者相关文章  

引用本文:

朱涛涛, 项晓燕, 陈晨, 孟建熠, 严晓浪. 面向宽电压应用的容错时钟门控单元设计[J]. 浙江大学学报(工学版), 2018, 52(9): 1796-1803.

ZHU Tao-tao, XIANG Xiao-yan, CHEN Chen, MENG Jian-yi, YAN Xiao-lang. Timing error resilient clock gate design for wide-voltage application. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2018, 52(9): 1796-1803.

链接本文:

http://www.zjujournals.com/eng/CN/10.3785/j.issn.1008-973X.2018.09.021        http://www.zjujournals.com/eng/CN/Y2018/V52/I9/1796

[1] KWON I, KIM S, FICK D, et al. Razor-lite:a light-weight register for error detection by observing virtual supply rails[J]. IEEE Journal of Solid-State Circuits, 2014, 49(9):2054-2066.
[2] 张苏敏, 陈黎明, 袁甲, 等. 面向亚阈值的脉冲生成电路设计[J]. 微电子学与计算机, 2014(9):118-121 ZHANG Su-min, CHEN Li-ming, YUAN Jia, et al. Design of subthreshold pulse generator[J]. Microelectronics & Computer, 2014(9):118-121
[3] HUANG C M, LIU T T, CHIUEH T D. An energy-efficient resilient flip-flop circuit with built-in timing-error detection and correction[C]//2015 International Symposium on VLSI Design, Automation and Test (VLSI-DAT). Hsinchu:IEEE, 2015:1-4.
[4] SHIN I, KIM J J, LIN Y S, et al. One-cycle correction of timing errors in pipelines with standard clocked elements[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24(2):600-612.
[5] VALADIMAS S, FLOROS A, TSIATOUHAS Y, et al. The time dilation technique for timing error tolerance[J]. IEEE Transactions on Computers, 2014, 63(5):1277-1286.
[6] CHAE K, MUKHOPADHYAY S. A dynamic timing error prevention technique in pipelines with time borrowing and clock stretching[J]. IEEE Transactions on Circuits and Systems I:Regular Papers, 2014, 61(1):74-83.
[7] ZHANG Y, KHAYATZADEH M, YANG K, et al. 8.8 irazor:3-transistor current-based error detection and correction in an arm cortex-r4 processor[C]//2016 IEEE International Solid-State Circuits Conference (ISSCC). San Francisco:IEEE, 2016:160-162.
[8] FUKETA H, HASHIMOTO M, MITSUYAMA Y, et al. Adaptive performance compensation with in-situ timing error predictive sensors for subthreshold circuits[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2012, 20(2):333-343.
[9] CHOUDHURY M, CHANDRA V, MOHANRAM K, et al. TIMBER:Time borrowing and error relaying for online timing error resilience[C]//Design, Automation & Test in Europe Conference & Exhibition (DATE), . Dresden:IEEE, 2010:1554-1559.
[10] SHIN I, KIM J J, LIN Y S, et al. A pipeline architecture with 1-cycle timing error correction for low voltage operations[C]//Proceedings of the 2013 International Symposium on Low Power Electronics and Design. Beijing:IEEE Press, 2013:199-204.
[11] ZHANG J, YUAN F, YE R, et al. Forter:A forward error correction scheme for timing error resilience[C]//2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). San Jose:IEEE, 2013:55-60.
[12] WIMER S, KOREN I. Design flow for flip-flop grouping in data-driven clock gating[J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22(4):771-778.
[13] CONSTANTIN J, WANG L, KARAKONSTANTIS G, et al. Exploiting dynamic timing margins in microprocessors for frequency-over-scaling with instruction-based clock adjustment[C]//Design, Automation & Test in Europe Conference & Exhibition (DATE), . Grenoble:IEEE, 2015:381-386.
[14] BHUTADA R, MANOLI Y. Complex clock gating with integrated clock gating logic cell[C]//International Conference on Design & Technology of Integrated Systems in Nanoscale Era. DTIS. Rabat:IEEE, 2007:164-169.
[15] ZHANG Y, TONG Q, LI L, et al. Automatic register transfer level CAD tool design for advanced clock gating and low power schemes[C]//2012 International SOC Design Conference (ISOCC). Jeju:IEEE, 2012:21-24.
[16] 阳玉才. DisplayPort数字视频设计与研究[D]. 合肥:合肥工业大学, 2012. YANG Yu-cai. Design and research on displayport digital video interface[D]. Hefei:HeFei University of Technology, 2012.
[17] UPPU R K, UPPU R T, SINGH A D, et al. Better-than-Worst-Case Timing Design with Latch Buffers on Short Paths[C]//201427th International Conference on VLSI Design and 201413th International Conference on Embedded Systems. Mumbai:IEEE, 2014:133-138.
[18] YANG Y M, JIANG I H R, HO S T. PushPull:Short-path padding for timing error resilient circuits[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33(4):558-570.
[19] LAULAINEN E, TURNQUIST M J, MÄKIPÄÄ J, et al. subthreshold timing-error detection 8 bit microcontroller S[C]//2012 IEEE International Symposium on Circuits and Systems(ISCAS). Seoul:IEEE, 2012:2953-2956.
[20] C-SKY Microsystems. CK802 of C-SKY embedded CPU:8-Bit CPU cost, 32-Bit CPU efficiency[EB/OL].[2017-02-14]. http://en.c-sky.com/solution/13411.htm.
[21] BOWMAN K A, TSCHANZ J W, KIM N S, et al. Energy-efficient and metastability-immune timing-error detection and instruction-replay-based recovery circuits for dynamic-variation tolerance[C]//Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International. San Francisco:IEEE, 2008:402-623.
[22] DAS S, TOKUNAGA C, PANT S, et al. RazorⅡ:in situ error detection and correction for PVT and SER tolerance[J]. IEEE Journal of Solid-State Circuits, 2009, 44(1):32-48.
[23] KIM S, SEOK M. Variation-tolerant, ultra-low-voltage microprocessor with a low-overhead, within-a-cycle in-situ timing-error detection and correction technique[J]. IEEE Journal of Solid-State Circuits, 2015, 50(6):1478-1490.

[1] 陈铖颖, 陈黎明, 黄新栋, 张宏怡. 基于共源共栅反相器的极低功耗Sigma-Delta调制器设计[J]. 浙江大学学报(工学版), 2018, 52(6): 1068-1072.
[2] 雷宇, 陈后鹏, 王倩, 李喜, 胡佳俊, 宋志棠. 相变存储器预充电读出方法[J]. 浙江大学学报(工学版), 2018, 52(3): 531-536.
[3] 胡晓慧, 张慧熙, 沈继忠. 基于多阈值技术的低功耗优先编码器设计[J]. J4, 2009, 43(5): 860-863.