浙江大学学报(工学版), 2024, 58(11): 2290-2298 doi: 10.3785/j.issn.1008-973X.2024.11.010

计算机技术、控制工程

低抖动快锁定10.9~12.0 GHz电荷泵锁相环

展永政,, 李仁刚, 李拓, 邹晓峰, 周玉龙, 胡庆生, 李连鸣

1. 山东云海国创云计算装备产业创新中心有限公司,山东 济南 250101

2. 东南大学 射频与光电集成电路研究所,江苏 南京 210096

3. 东南大学 信息科学与工程学院,江苏 南京 210096

Low-jitter fast-locked 10.9−12.0 GHz charge-pump phase-locked loop

ZHAN Yongzheng,, LI Rengang, LI Tuo, ZOU Xiaofeng, ZHOU Yulong, HU Qingsheng, LI Lianming

1. Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Limited Company, Jinan 250101, China

2. Institute of RF- and OE-ICs, Southeast University, Nanjing 210096, China

3. School of Information Science and Engineering, Southeast University, Nanjing 210096, China

收稿日期: 2023-10-8  

基金资助: 山东省自然科学基金资助项目(ZR2022QF146);山东省自然科学基金创新发展联合基金资助项目(ZR2023LZH004);中国博士后科学基金资助项目(2024M751268).

Received: 2023-10-8  

Fund supported: 山东省自然科学基金资助项目(ZR2022QF146);山东省自然科学基金创新发展联合基金资助项目(ZR2023LZH004);中国博士后科学基金资助项目(2024M751268).

作者简介 About authors

展永政(1989—),男,高级工程师,博士,从事高速集成电路设计的研究.orcid.org0000-0002-1885-5950.E-mail:sdzyz1989@163.com , E-mail:sdzyz1989@163.com

摘要

基于65 nm CMOS 工艺,设计适用于高速SerDes串行链路的低抖动高速电荷泵锁相环(CPPLL)电路. 通过优化环路带宽以及压控振荡器(VCO)、电荷泵和鉴频鉴相器的电路结构, 抑制电压纹波和内部噪声引起的抖动, 以在满足SerDes链路需要的宽频范围和高速要求的同时, 电荷泵锁相环能够获得较小的抖动偏差和稳定的时钟信号. 包括整个焊盘在内的芯片面积为0.309 mm2. 测试结果表明, 电荷泵锁相环能够实现10.9~12 GHz的输出时钟信号, 其在10 MHz频偏处的相位噪声、参考杂散和品质因数(FoM)分别为−111.47 dBc/Hz、−25.14 dBc和−223.5 dB. 当输入参考频率为706.25 MHz 时, CPPLL能够在600 μs后输出稳定的11.3 GHz时钟信号, 且RMS抖动为973.9 fs, 约为0.065 UI. 在电源电压为1.2 V下, 电路的功耗为47.3 mW. 所设计的锁相环(PLL)电路能够适用于20 Gb/s及以上的高速通信链路系统.

关键词: 压控振荡器(VCO) ; 电荷泵 ; 低抖动 ; 串行链路 ; 高速

Abstract

A low-jitter high-speed charge-pump phase-locked loop (CPPLL) suitable for high-speed SerDes serial link was designed using 65 nm CMOS technology. Loop bandwidth and circuit structure of voltage-controlled oscillator (VCO), charge pump (CP), phase frequency detector (PFD) were optimized to reduce jitter caused by voltage ripple and internal noise. CPPLL can achieve a stable clock signal with the smaller jitter offset while meeting the wide frequency range and high speed requirements of SerDes link. Chip area including the entire pads is 0.309 mm2. The measurement results show that CPPLL can generate a 10.9-12 GHz clock signal and exhibit a phase noise of −111.47 dBc/Hz and a reference spur of −25.14 dBc and a figure-of-merit (FoM) of −223.5 dB at 10 MHz offset. It takes 600 μs to generate a stable 11.3 GHz clock signal, and its RMS jitter is 973.9 fs when the reference frequency is 706.25 MHz, which is approximately 0.065 UI. The power consumption is 47.3 mW at the supply voltage of 1.2 V. The proposed phase-locked loop (PLL) is suitable for high-speed communication link systems at 20 Gb/s and above.

Keywords: voltage-controlled oscillator (VCO) ; charge pump ; low jitter ; serial link ; high speed

PDF (3230KB) 元数据 多维度评价 相关文章 导出 EndNote| Ris| Bibtex  收藏本文

本文引用格式

展永政, 李仁刚, 李拓, 邹晓峰, 周玉龙, 胡庆生, 李连鸣. 低抖动快锁定10.9~12.0 GHz电荷泵锁相环. 浙江大学学报(工学版)[J], 2024, 58(11): 2290-2298 doi:10.3785/j.issn.1008-973X.2024.11.010

ZHAN Yongzheng, LI Rengang, LI Tuo, ZOU Xiaofeng, ZHOU Yulong, HU Qingsheng, LI Lianming. Low-jitter fast-locked 10.9−12.0 GHz charge-pump phase-locked loop. Journal of Zhejiang University(Engineering Science)[J], 2024, 58(11): 2290-2298 doi:10.3785/j.issn.1008-973X.2024.11.010

在人工智能、自动驾驶、云计算和大数据等高算力的驱动下,高速SerDes串行接口得到快速发展,接口速率达到224 Gb/s[1-2]. 400G以太网中4×100 Gb/s、8×50 Gb/s和16×25 Gb/s的高速串口对锁相环电路(phase-locked loop, PLL)的动态性能提出了更高的设计要求[3-4],特别是对随机抖动均方差(RMS Jitter)的要求很高. 时钟信号的RMS抖动直接影响高速串行链路的时域阈值和传输信号的质量. 低抖动高速PLL成为高速SerDes传输链路的研究焦点[5-6].

为了达到这一目的,目前国内外的研究学者主要集中在电路结构、参数优化、可编程等方面. 在电路结构上,注入型PLL[7] (injection-locked phase-locked loop, ILPLL)和延迟型PLL[8] (delay-locked loop, DLL)分别通过引入注入锁定技术和压控延迟技术,解决高频单环PLL中环路带宽限制的问题,抑制振荡器所引起的噪声. 注入锁定技术会增加额外的功耗、面积及较大的注入杂散,恶化了品质因数(figure-of-merit, FoM)性能[9]. 压控延迟技术的延迟单元间存在失配,导致相位时钟之间的相位误差无法精确调整,这会增大高速传输链路中时序错误的可能性. 为了避免上述额外的抖动影响,亚采样锁相环在锁定状态下没有分频器的作用[10],通过采样-保持电路抑制鉴频鉴相器、电荷泵带来的带内噪声. 亚采样锁相环的频率锁定范围十分有限,大约为环路带宽的2倍,严重限制了PLL在高速串行传输链路中的应用. 除此之外,上述锁相环的性能受限于环路参数. 针对该问题,部分研究学者展开相应的研究,取得了一定的成果. Liu等[11]采用0.18 μm工艺设计低电压低功耗CPPLL,通过采用双混合环路C类压控振荡器、自适应调节机制、动态电流补偿技术来优化相位噪声和参考杂散,即-123.97 dBc/Hz @1 MHz和−89 dBc,优于基于65nm的CPPLL性能[12]. 此外,吴金等[13]结合开环最大裕度法,利用特定激励下的迭代数据分析法,实现环路带宽最优化,改善了锁相环电路的频率范围、频率偏差和抖动特性. 邓涵等[14]采用可重构并联电荷泵结构,通过自适应调整电荷泵电流和倍频因子的关系,抑制高倍频比对时钟抖动的影响,实现低抖动的时钟信号. 延迟振荡器的最高输出频率仅为4 GHz,难以满足高速串行链路的速率需求. 在高速串行链路中采用较小的分频比,可以有效地降低锁相环的带内噪声. 鉴频鉴相器、电荷泵、振荡器等电路所产生的噪声成为影响PLL性能的主要原因.

本文基于65 nm工艺,设计适用于高速SerDes链路的低抖动高速锁相环. 在实现环路带宽最优化的同时,不仅采用互补交叉耦合对和基于PMOS管的尾电流源结构设计压控振荡器,以抑制振荡器的内部噪声引起的随机抖动,而且采用管联结构和增益提升技术对电荷泵的电流失配和电荷共享理想因素进行优化,减小因充放电过程引起的电压纹波,保证锁相环的低抖动性和宽输出频率.

1. 锁相环架构

锁相环作为时钟产生电路,是SerDes链路中重要的模块之一. 按照环路结构,可以分为延迟型和LC振荡型. 图1给出延迟型锁相环的电路结构,包括鉴相器、电荷泵和压控延迟线. 由于DLL存在无反馈回路,压控延迟线产生的抖动或相位噪声不会循环累积. 振荡频率ωosc主要取决于电路的延时t和级数N,即ωosc=1/(2Nt),具有占用面积小、功耗低的优点,主要适用于5.0 GHz以下的低频应用场景. 随着SerDes传输速率的不断提高,如10 Gb/s+,DLL需要更大的电流来提高振荡频率,无法满足更高的相位噪声、抖动和功耗等要求. LC-VCO PLL中电感和电容所占用的面积将更小,在功耗和抖动上凸显优势. 在10 Gb/s及以上的应用场景中,尤其是在400 Gb/s物理层,基于LC-VCO的PLL更合适[15].

图 1

图 1   延迟型锁相环的框图

Fig.1   Block diagram of DLL


图2所示,为了满足物理层25 Gb/s串口中32∶1复接器[16]和行列交织器读写的时钟频率关系,即12.5 GHz∶6.25 GHz∶1.562 5 GHz∶781.25 MHz,采用较小的分频数(=16)以抑制锁相环的带内噪声. 采用781.25 MHz的时钟信号作为输入参考频率ckr. 在16级分频器中,高速二分频器选择基于电流模(current mode logic, CML)的D触发器实现VCO输出频率的二分频[17],低速二分频采用带有上拉电阻M1的真单相时钟型触发器(single phase clock type, TSPC)进行分频. 分频后,PFD对输入参考频率和反馈频率ckf进行鉴频鉴相,控制CP的充电过程I1和放电过程I2,通过LPF抑制控制电压的纹波,稳定输出12.5 GHz信号.

图 2

图 2   LC振荡型电荷泵锁相环的框图

Fig.2   Block diagram of LC-VCO CPPLL


2. 最优带宽分析

针对SerDes链路的高速率、低抖动、快锁定等性能要求,在保证环路稳定性的同时减少PLL的锁定时间,环路带宽的选取成为PLL的设计关键. 为了实现PLL的相位噪声、锁定时间和稳定性之间的折中优化,通过频域模型仿真分析各个模块对PLL输出信号的噪声贡献,获得PLL的整体性能.

图3所示为PLL电路总相位噪声及各个模块的噪声贡献. 图中,f为频率,L为相位噪声(本文的相位噪声为单边带噪声功率相对于载波功率的比值,并归一化至1 Hz噪声功率带宽). 从图3可以看出,在0~1.0 MHz的频段,鉴频鉴相器和电荷泵的噪声为主要贡献,在1 MHz以外的频段,总相位噪声曲线主要由压控振荡器的噪声决定. 环路带宽远高于1 MHz,可以有效地抑制VCO本身噪声,但会使得低通滤波器对输入信号、PFD、CP和分频器的带内噪声抑制能力减弱,增加VCO控制电压上的低频纹波,恶化了VCO输出信号的抖动性能.

图 3

图 3   LC振荡型电荷泵锁相环的相位噪声曲线图

Fig.3   Phase noise curve of LC-VCO CPPLL


在分析各个模块的噪声贡献的同时,要考虑环路带宽对系统锁定时间的影响. PLL的锁定时间Tl近似为

$ \mathit{T} _{ \mathrm{l}} \mathrm{=4/} \mathit{f} _{ \mathrm{c}} \mathrm{.} $

式中:fc为环路带宽. 从式(1)可知,PLL 锁定时间与环路带宽成反比关系. 图4给出不同环路带宽下锁定时间的变化曲线. 可以看出,当环路带宽分别为10、16和46 MHz时,锁定时间分别为0.4、0.25和0.086 μs,因此,小的环路带宽会增加锁定时间. 综合锁定时间和相位噪声,选取16 MHz作为环路带宽.

图 4

图 4   不同环路带宽下的锁定时间变化曲线

Fig.4   Lock-time curve under different loop bandwidthes


在确定环路带宽后,为了降低电压纹波引起的输出频率波动,须设计低通滤波器. 综合考虑电荷泵充放电流Icp、VCO的压控灵敏度KVCO、相位裕度60°等系统参数,获得低通滤波器的电阻R1和电容C1C2等参数值. 从图4可以看出,VCO输出频率能够稳定在12.5 GHz,说明二阶低通滤波器能够完全抑制电压纹波,环路能够稳定工作.

$ \left. \begin{aligned} {C_2} = &\frac{1}{{{{({\mathrm{tan}}({\mathrm{PM}})+{\mathrm{sec}}({\mathrm{PM}}))}^2}}}{C_{\mathrm{t}}}, \\ {C_1} =\;& {C_{\mathrm{t}}} - {C_2}, \\ {C_{\mathrm{t}}} = &\frac{{{I_{{\mathrm{cp}}}}{K_{{\mathrm{VCO}}}}}}{{2{\text{π}} \times 16 \times {{(2{\text{π}} {f_{\mathrm{c}}})}^2}}}({\mathrm{tan}}({\mathrm{PM}})+{\mathrm{sec}}({\mathrm{PM}})), \\ {R_1} =& \frac{1}{{2{\text{π}} {f_{\mathrm{c}}}{\text{ }}{C_1}}}({\mathrm{tan}}({\mathrm{PM}})+{\mathrm{sec}}({\mathrm{PM}})) .\end{aligned}\right\}$

式中:PM为相位裕度.

3. 关键电路的设计

图3中,PFD、CP和VCO是PLL总噪声的主要来源,分别引起VCO控制线上纹波引起的抖动Dj和VCO内部器件引起的随机抖动Rj[18]. 下面对VCO、PFD和CP进行优化设计.

3.1. VCO电路

LC-VCO CPPLL中VCO向SerDes链路提供高频时钟信号[19],VCO性能直接影响了输出信号的抖动. 如图5所示, MOS管M1、M2、M3、M4提供恒定的尾电流,M5、M6和M7、M8构成PMOS/NMOS交叉耦合对,提供有源负阻RN. LC网络构成谐振电路,并联等效电阻RP一般为ωL/Q,其中ω为角频率(=2πf0),Q为LC谐振电路的品质因数.

图 5

图 5   互补交叉耦合负阻LC-VCO的电路图

Fig.5   Circuit diagram of complementary cross-coupled negative-resistance LC-VCO


随机抖动Rj主要是由VCO内部器件噪声引起的,可以表示为

$ {R_j} = \sqrt {\frac{{L{\text{(}}\Delta f{\text{)}}}}{{{f_{\text{0}}}}}} \left(\frac{{\Delta f}}{{{f_{\text{0}}}}}\right) . $

式中:f0为VCO的振荡频率,Lf)为频偏Δf的相位噪声. 为了优化相位噪声,采用NMOS 和PMOS 交叉耦合对管在半个振荡周期内交替工作,可以有效地降低1/f噪声的上变频调制. 这说明跨导高于单NMOS或PMOS结构的NMOS+PMOS交叉耦合结构缩短了MOS管的开关/闭合时间,增大了VCO的输出振幅,改善了输出波形的对称性,如图6所示. 图中,U为电压. 采用基于PMOS管的尾电流源结构,增大了输出摆幅. 较大的输出信号摆幅使得尾电流源电流和互补交叉耦合对管流过的电流增大,导致MOS 管的热电流噪声增大,恶化了相位噪声. 下一步考虑滤波技术以降低偏置电路的噪声,实现相位噪声的优化.

图 6

图 6   交叉耦合管后的VCO输出波形

Fig.6   Output waveform of VCO with cross-coupled transistor


为了保证不同工艺角下满足振荡频率的要求,优化调谐线性度,以实现宽调谐范围,如图7所示. 图中,Vctrl为VCO的控制电压. 从图7可以看出,在TT工艺角下的输出频率为12.1~13.2 GHz,完全覆盖目标频率12.5 GHz,在TT工艺角下KVCO=2π×1.125×109 rad/ (s·V). 较大的KVCO在一定程度上恶化了相位噪声,如图8所示. 可以看出,在1 MHz频偏处VCO的相位噪声为−101.3 dB/Hz,稍差于文献[20] (−113.4 dB/Hz).

图 7

图 7   不同工艺角下VCO 的压控特性曲线

Fig.7   Voltage-controlled characteristic curve of VCO under different corners


图 8

图 8   VCO 相位噪声

Fig.8   Phase noise of VCO


3.2. PFD+CP组合电路

VCO控制线上纹波引起的抖动Dj可以表示为

$ {D_{\mathrm{j}}} = \frac{1}{{{f_0}}}\int_0^{t+{{T}}} {v(t){K_{{\mathrm{VCO}}}}(t){\mathrm{d}}t = \Delta {V_{{\mathrm{ctrl}}}}{T_{{\mathrm{dz}}}}{K_{{\mathrm{VCO}}}}/{f_0}} . $

式中:ΔVctrl为控制线上纹波v(t)的电压偏离值,Tdz为控制线上纹波v(t)的时间宽度. 可以看出,在给定的振荡频率f0上,Dj与ΔVctrlTdzKVCO成正比. 为了降低抖动Dj[21],对CP电路中的非理想因素(如电流失配、漏电流、电荷共享等)进行优化设计.

针对电流失配问题,采用管联结构和增益提升技术来增加输出阻抗,以提高充放电流工作的匹配性,如图9所示. 图中,PMOS管M6、M7、M8组成共源共栅充电电流源,NMOS管M9、M10、M11组成共源共栅放电电流源,M1~M5构成镜像电流源,为M6~M8和M9~M11提供恒定的电流.

图 9

图 9   基于共源共栅的CP电路图

Fig.9   Circuit diagram of cascade-based CP


与传统CP的输出电阻Rout相比,输出电阻Rout_boost能够提升1+(gm9gm10) /(gm10+gmb10)倍. 输出电阻可以表示为

$ {R_{{\mathrm{out}}}} = ({g_{{\mathrm{m}}10}}+{g_{{\mathrm{mb}}10}}) {r_{11}} {r_{10}} , $

$ {R_{{\text{out\_boost}}}} = ({g_{{\text{m10}}}}+{g_{{\text{mb10}}}}) {r_{11}} {r_{10}}+{g_{{\text{m9}}}} {g_{{\text{m10}}}} {r_{11}} {r_{10}} . $

图10给出CP的电流匹配特性. 图中,I为电流. 从图10可以看出,在0.35~0.8 V下CP的电流匹配精度约为0.047%,CP具有良好的充放电流匹配效果,说明共源共栅电流源可以有效地抑制CP的电流失配,减小因失配引起的PLL低频纹波.

图 10

图 10   CP电流匹配的特性曲线

Fig.10   Characteristic curve of CP current matching


在共源共栅电路中,M8和M10管隔离了开关管M7/M11和LPF,以降低开关管M7和M11引起的电荷共享效应. 结合PFD电路[22],如图11所示,图12给出PFD和CP级联的充电放电过程. 可以看出,在开关管M7和M11开启或关断瞬间,充电电流没有尖峰电流,充电波形的线性度良好. 当控制信号关断时,电容的电压维持不变,没有较大的电压变化,因此 VCO的控制电压不会产生较大的纹波,不会造成较大的频率跳变,有利于降低输出时钟信号的抖动. M8和M10管实现了输出端与电源/地之间的隔离,减小了漏电流,如图13所示. 可以看出,在0~1.2 V下CP的总漏电流最大为23.3 pA,说明漏电流较小,对CPPLL系统的影响可以忽略不计. 可知,CP中充放电电流失配和电荷共享均得到较好的抑制效果.

图 11

图 11   基于TSPC的PFD电路图

Fig.11   Circuit diagram of TSPC-based PFD


图 12

图 12   PFD+CP级联后充电过程

Fig.12   Charge process cascading PFD with CP


图 13

图 13   CP漏电流曲线

Fig.13   Leakage current curve of CP


4. 芯片测试及分析

CPPLL采用65 nm CMOS工艺设计并测试. 显微照片如图14所示,包括焊盘在内的整个芯片面积为0.309 mm2 (=0.474 6 mm×0.651 mm),CLK为输入参考时钟信号,VCOp/VCOn为VCO输出时钟信号,div2和div16分别为二分频、16分频的输出时钟信号.

图 14

图 14   芯片显微照片

Fig.14   Chip micrograph


为了验证本文所设计的CPPLL性能,图15给出CPPLL的测试平台. 其中,Rohde & Schwarz 信号发生器用于产生正弦时钟信号,作为芯片的参考时钟频率. 在某一个参考频率下,Tsktronix MSO 71254示波器接收PLL输出信号的瞬态波形,衡量抖动性能.

图 15

图 15   CPPLL的测试平台

Fig.15   Measurement platform of CPPLL


4.1. 仿真分析

通过瞬态仿真对锁定频率和抖动性能进行后仿真分析,保证不同工艺角下CPPLL均能正常工作. 图16给出当参考频率为781.25 MHz时SS、TT和FF工艺角下CPPLL的频率锁定曲线. 可以看出,3种工艺角下CPPLL输出信号的振荡频率均为12.5 GHz,其中TT工艺角的锁定时间最短,约为110 ns,说明CPPLL能够在ns级别内快速锁定时钟频率. 各个工艺角下锁定后的频率波动幅度约为±0.02 GHz,说明VCO控制线上的纹波变化范围较小. 图17给出VCO输出时钟信号的抖动性能. 可以看出,抖动小于1%UI,说明VCO可以输出相对稳定的时钟频率.

图 16

图 16   不同工艺角下12.5 GHz CPPLL 频率锁定曲线

Fig.16   Frequency locking curve of CPPLL at 12.5 GHz for different corner


图 17

图 17   SS工艺角下的CPPLL抖动性能

Fig.17   Jitter performance of CPPLL at SS corner


4.2. 测试分析

图18给出参考频率为706.25 MHz时的瞬态测试,包括输出信号的瞬态波形、输出抖动性能和锁定时间. 从图18(a)可以看出,PLL输出信号Uo、二分频输出信号Us和反馈信号Uf的分频比是8级二分频,这说明PLL能够完成锁频和分频的功能. 从图18(b)、(c)可以看出,当输出时钟信号的频率为11.3 GHz时,VCO输出时钟信号的峰峰抖动和RMS抖动分别是5.8 ps和973.9 fs,约为0.065 UI,而且锁定时间为600 μs,说明输出时钟频率的抖动较小,VCO能够快速地输出相对稳定的时钟频率,满足高速串行链路的应用需求[23].

图 18

图 18   锁相环芯片的瞬态测试曲线

Fig.18   Transient measurement curve of CPPLL


图19给出11.2 GHz CPPLL的相位噪声测试曲线. 可以看出,CPPLL的输出信号在10 MHz频偏处的相位噪声值为−111.47 dB/Hz. 图20给出11.3 GHz CPPLL的输出信号频谱. 可以看出, CPPLL的输出信号锁定在11.3 GHz频点上,参考杂散为−25.14 dB.

图 19

图 19   锁相环芯片的相位噪声测试曲线(@11.2 GHz)

Fig.19   Measurement curve of CPPLL phase noise


图 20

图 20   锁相环芯片的参考杂散测试曲线(@11.3 GHz)

Fig.20   Measurement curve of CPPLL reference spur


表1所示为本文设计的CPPLL测试结果,并与近几年65 nm CPPLL的研究成果进行比较,其中,文献[24~26]是采用LC-VCO结构实现的,文献[27~29]采用的是Ring-VCO结构. 表中,FoM为品质因数[30]. 从表1可以看出,在锁频范围和面积上,LC结构PLL高于Ring结构PLL. 本文中的高调谐线性度使得锁频范围宽于文献[26],但由于CP电流匹配范围有限,且本文未采用调谐电容阵列和自适应带宽,本文的锁频范围低于文献[2425]. 尽管本文对VCO和CP进行了优化,但是VCO中的尾电流源结构增加了热噪声,缺少滤波处理和PVT补偿电路,且本文的频率最高,使得相位噪声高于文献[2629]. 从FoM上来看,本文的FoM值接近于文献[28],仅次于文献[2629],这主要受功耗的影响.

表 1   65 nm 工艺下的CPPLL性能总结与比较

Tab.1  Summary and comparison of CPPLL performance using 65 nm technology

文献VCO锁频范围/GHzRMS /ps相位噪声/(dB·Hz−1)参考杂散/dB功耗/mW面积/mm2FoM/dB
本文LC10.9~12.00.9739−111.47@10 MHz−25.1447.30.309−223.5
文献[24]LC1.25~3.1252.1743.6−216.8
文献[25]LC6.3~8.71.2−116.6@1 MHz<−50500.72−161.4
文献[26]LC3.60.043−141.1@1 MHz−80.347.270.497−258.7
文献[27]Ring3.27.5−107.9@10 MHz−45.52.730.047−218.1
文献[28]Ring1.25~3.1251.65−47.6328.80.384−221.1
文献[29]Ring1.2~2.50.25−124.8@1 MHz−468.50.0066−242.7

新窗口打开| 下载CSV


5. 结 语

本文设计基于65 nm CMOS 工艺的快锁定宽频低抖动CPPLL. 为了在保证环路稳定性的同时降低PLL的锁定时间,通过分析时域模型和频域模型获得最优的环路带宽. VCO采用交叉耦合管和尾电流技术来降低内部器件噪声,通过优化调频线性度来实现宽锁频范围. CP利用管联结构和增益提升技术,降低电路中电流失配和电荷共享的影响. 测试结果表明,CPPLL 能够实现10.9~12 GHz下的输出时钟信号. 当包括整个焊盘在内的芯片面积为0.474 6 mm×0.651 mm,电源电压为1.2 V,输入参考频率为706.25 MHz 时,电路的功耗为47.3 mW,输出时钟信号的RMS抖动是973.9 fs,约为0.065 UI,适用于20 Gb/s 及以上的高速串行链路.

参考文献

ROSHAN-ZAMIR A, IWAI T, FAN Y H, et al. A 56 Gb/s PAM4 receiver with low-overhead threshold and edge-based DFE FIR and IIR-tap adaptation in 65nm CMOS [C]// IEEE Custom Integrated Circuits Conference . San Diego: IEEE, 2018: 1-4.

[本文引用: 1]

SEGAL Y, LAUFER A, KHAIRI A, et al. A 1.41 pJ/b 224 Gb/s PAM-4 SerDes receiver with 31dB loss compensation [C]// International Solid-State Circuits Conference . San Francisco: IEEE, 2022: 114-115.

[本文引用: 1]

POON C F, ZHANG W F, CHO J H, et al

A 1.24-pJ/b 112-Gb/s (870 Gb/s/mm) transceiver for in-package links in 7-nm FinFET

[J]. IEEE Journal of Solid-State Circuits, 2022, 57 (4): 1199- 1210

DOI:10.1109/JSSC.2022.3141802      [本文引用: 1]

LU P. A 25.6-27.5GHz phase-locked loop for SerDes transceiver clocking in 5nm FinFET [C]// IEEE Nordic Circuits and Systems Conference . Oslo: IEEE, 2021: 1-4.

[本文引用: 1]

辛可为, 吕方旭, 王建业, 等

适用于4通道100 Gbps SerDes的两级架构正交12.5 GHz低功耗低抖动时钟发生器

[J]. 空军工程大学学报: 自然科学版, 2019, 20 (5): 64- 69

[本文引用: 1]

XIN Kewei, LV Fangxu, WANG Jianye, et al

A 12.5 GHz clock generator applicable for 4-way 100 Gbps high-speed serial interface circuits

[J]. Journal of Air Force Engineering University: Natural Science Edition, 2019, 20 (5): 64- 69

[本文引用: 1]

李沛杰, 沈剑良, 苑红晓, 等

一种应用于软件定义互连系统的多协议SerDes电路

[J]. 电子学报, 2021, 49 (4): 817- 823

[本文引用: 1]

LI Peijie, SHEN Jianliang, YUAN Hongxiao, et al

A multi-protocol SerDes circuit for the application in software defined interconnection system

[J]. ACTA Electronica Sinica, 2021, 49 (4): 817- 823

[本文引用: 1]

SAURABH K, KUMAR S Y

A low-phase-noise self-aligned sub-harmonically injection-locked PLL using aperture phase detector-based DLL windowing technique

[J]. IEEE Access, 2023, 11: 6641- 6655

DOI:10.1109/ACCESS.2023.3237539      [本文引用: 1]

YANG X, LIU F, HUO Z L

Wide-range and high-accuracy four-phase DLL with the adaptive-bandwidth scheme

[J]. Xi'an Dianzi Keji Daxue Xuebao/Journal of Xidian University, 2022, 49 (1): 194- 201

[本文引用: 1]

BAE W. State-of-the-art circuit techniques for low-jitter phase-locked loops: advanced performance benchmark FOM based on an extensive survey [C]// IEEE International Symposium on Circuits and Systems . Daegu: IEEE, 2021: 1-5.

[本文引用: 1]

LIU H L, SUN Z, HUANG H Y, et al

A 265-mu W fractional-N digital PLL with seamless automatic switching sub-sampling/sampling feedback path and duty-cycled frequency-locked loop in 65-nm CMOS

[J]. IEEE Journal of Solid-State Circuits, 2019, 54 (12): 256- 257

[本文引用: 1]

LIU L X, JI Y L, LIAO X F, et al

A 0.8-V, 2.55-GHz, 2.62-mW charge-pump PLL with high spectrum purity

[J]. IEEE Transactions on Very Large Scale Integration Systems, 2022, 30 (2): 113- 122

DOI:10.1109/TVLSI.2022.3140457      [本文引用: 1]

ZHANG Z, YANG J, LIU L, et al

A 0.9-2.25 GHz sub-0.2-mW/GHz compact low-voltage low-power hybrid digital PLL with loop bandwidth-tracking technique

[J]. IEEE Transactions on Very Large Scale Integration Systems, 2018, 26 (5): 933- 944

DOI:10.1109/TVLSI.2018.2797280      [本文引用: 1]

吴金, 孙亚伟, 彭杰, 等

一种应用于阵列TDC的低抖动锁相环设计

[J]. 电子学报, 2020, 48 (9): 1703- 1710

[本文引用: 1]

WU Jin, SUN Yawei, PENG Jie, et al

Design of a low jitter phase locked loop for array TDC

[J]. ACTA Electronica Sinica, 2020, 48 (9): 1703- 1710

[本文引用: 1]

邓涵, 韦雪明, 尹仁川, 等

一种自适应可重构宽带低抖动锁相环时钟

[J]. 微电子学, 2023, 53 (1): 89- 94

[本文引用: 1]

DENG Han, WEI Xueming, YIN Renchuan, et al

A wideband low jitter PLL clock with adaptive reconfigurable charge pump

[J]. Microelectronics, 2023, 53 (1): 89- 94

[本文引用: 1]

FISCHETTE D. A 45-nm SOI-CMOS dual-PLL processor clock system for multi-protocol I/O [C]// IEEE International Solid-State Circuits Conference. San Francisco: IEEE, 2010: 246-248.

[本文引用: 1]

ZHAN Y Z, LI T, ZOU X F, et al. Low-power 25Gb/s 16: 1 multiplexer for 400Gb/s ethernet PHY [C]// 2nd International Conference on Applied Physics and Computing . Ottawa: Elsevier, 2021.

[本文引用: 1]

ZHANG Y, WEN Z P, HOU X P. A 0.78mW inductor-less 21GHz CML frequency divider in 65nm CMOS [C]// IEEE 3rd Information Technology, Networking, Electronic and Automation Control Conference . Chengdu: IEEE, 2019: 1395-1399.

[本文引用: 1]

KUNDERT K. Predicting the phase noise and jitter of PLL based frequency synthesizers [Z]. Designer’s Guide Consulting, Inc. 2006.

[本文引用: 1]

SOPHIYA S S, YELLAMPALLI S S. Design of 6.7GHz~7. 518GHz cross coupled LC-VCO in 180nm CMOS technology [C]// 5th International Conference on Computing Methodologies and Communication . Erode: IEEE, 2021: 665-671.

[本文引用: 1]

MAHESHWARI N, CHAUHAN P S, PANDA D K. Design and optimization of switched capacitor array based differential CMOS LC VCO for wide band application [C]// International Conference on Information, Communication, Instrumentation and Control . Indore: IEEE, 2017.

[本文引用: 1]

SAMARAS D, HATZOPOULOS A. A low-power low-noise 65nm charge pump using mismatch compensation and smoothing capacitor [C]// 11th International Conference on Modern Circuits and Systems Technologies . Bremen: IEEE, 2022: 1-4.

[本文引用: 1]

GHASEMIAN H, BAHRAMI A, JAMADI B, et al. Implement of two new high-speed low-power PFDs with low blind zone and dead zone in 65nm CMOS technology [C]// 28th Iranian Conference on Electrical Engineering . Tabriz: IEEE, 2020: 1-6.

[本文引用: 1]

BEUKEMA T. Topics on design and analysis of high data rate SerDes system [EB/OL]. [2019-11-30]. https://picture.iczhiku.com/resource/eetop/SYKhWDtRRtzOyvvn.pdf.

[本文引用: 1]

YUAN H, CHEN J, LIANG B, et al. A radiation-immune low-jitter high-frequency PLL for SerDes [C]// CCF National Conference on Computer Engineering and Technology . Xiamen: Elsevier, 2017: 45-51.

[本文引用: 3]

ZHOU P Z, WU L, LI C, et al. A 6.3-8.7 GHz phase-locked loop in 65nm CMOS [C]// IEEE International Workshop on Electromagnetics: Applications and Student Innovation Competition . Guangzhou: Elsevier, 2021: 1-4.

[本文引用: 2]

HUANG Y B, CHEN Y, ZHAO B, et al

A 3.6-GHz type-II sampling PLL with a differential parallel-series double-edge S-PD scoring 43.1-fs RMS jitter, −258.7-dB FOM, and −75.17-dBc reference spur

[J]. IEEE Transactions on Very Large Scale Integration Systems, 2023, 31 (2): 188- 198

DOI:10.1109/TVLSI.2022.3229342      [本文引用: 5]

KIM D, CHO S H. A supply noise insensitive PLL with a rail-to-rail swing ring oscillator and a wideband noise suppression loop [C]// Symposium on VLSI Circuits . Kyoto: Elsevier, 2017: 180-181.

[本文引用: 2]

YUAN H Z, GUO Y, LIU Y, et al

A self-biased low-jitter process-insensitive phase-locked loop for 1.25Gb/s-6.25Gb/s SerDes

[J]. Chinese Journal of Electronics, 2018, 27 (5): 1009- 1014

DOI:10.1049/cje.2018.02.003      [本文引用: 2]

GROUT K, KITCHEN J

A dividerless ring oscillator PLL with 250fs integrated jitter using sampled low pass filter

[J]. IEEE Transactions on Circuits and Systems II: Express Briefs, 2020, 67 (11): 2337- 2341

[本文引用: 4]

YANG Z S, CHEN Y, YANG S H, et al

A 10.6-mW 26.4-GHz dual-loop type-II phase-locked loop using dynamic frequency detector and phase detector

[J]. IEEE Access, 2020, 8: 2222- 2232

DOI:10.1109/ACCESS.2019.2962060      [本文引用: 1]

/