Please wait a minute...
浙江大学学报(工学版)  2020, Vol. 54 Issue (1): 152-159    DOI: 10.3785/j.issn.1008-973X.2020.01.018
计算机技术、信息工程     
改进边界Fisher分析近邻选择的硬件木马检测
王晓晗(),王韬*(),张阳,刘广凯
中国人民解放军陆军工程大学石家庄校区 装备模拟训练中心,河北 石家庄 050003
Hardware Trojan detection based on improved marginal Fisher analysis nearest neighbor selection
Xiao-han WANG(),Tao WANG*(),Yang ZHANG,Guang-kai LIU
Equipment Simulation Training Center, Army Engineering University Shijiazhuang Campus, Shijiazhuang 050003, China
 全文: PDF(1385 KB)   HTML
摘要:

针对旁路分析技术对小规模硬件木马检测精度低的问题,提出基于边界Fisher分析的硬件木马检测方法.定义规则式选择近邻样本,以减小样本与其同类近邻样本间距离和增大样本与其异类近邻样本间距离的方式构建投影子空间,在不对数据分布作任何假设的前提下,提取原始功耗旁路信号中的差异特征,实现硬件木马检测. AES加密电路中的硬件木马检测实验表明,该方法能够检测出占原始电路规模0.02%的硬件木马,优于已有的检测方法.

关键词: 集成电路旁路分析硬件木马检测流形学习边界Fisher分析    
Abstract:

A hardware Trojan detection method based on marginal Fisher analysis was proposed aiming at the problem of low detection accuracy for small-scale hardware Trojan by side-channel analysis. The rule was defined to select the nearest neighbor samples. Then the projection subspace was constructed by reducing the distance between the samples and their nearest neighbor samples in the same class and increasing the distance between the samples and their nearest neighbor samples in different class. The difference features in the original side-channel signals was found without any assumptions about data distribution, and the detection of hardware Trojan was achieved. The hardware Trojan detection experiment in AES encryption circuit shows that the hardware Trojan whose scale is 0.02% of the original circuit can be detected by the method. The method is better than existing detection methods.

Key words: integrated circuit    side-channel analysis    hardware Trojan detection    manifold learning    marginal Fisher analysis
收稿日期: 2019-03-06 出版日期: 2020-01-05
CLC:  TN 918  
基金资助: 国家自然科学基金资助项目(61602505)
通讯作者: 王韬     E-mail: wxh2225@126.com;T_Wang_mail@163.com
作者简介: 王晓晗(1992—),男,博士生,从事芯片安全技术的研究. orcid.org/0000-0001-8878-1443. E-mail: wxh2225@126.com
服务  
把本文推荐给朋友
加入引用管理器
E-mail Alert
作者相关文章  
王晓晗
王韬
张阳
刘广凯

引用本文:

王晓晗,王韬,张阳,刘广凯. 改进边界Fisher分析近邻选择的硬件木马检测[J]. 浙江大学学报(工学版), 2020, 54(1): 152-159.

Xiao-han WANG,Tao WANG,Yang ZHANG,Guang-kai LIU. Hardware Trojan detection based on improved marginal Fisher analysis nearest neighbor selection. Journal of ZheJiang University (Engineering Science), 2020, 54(1): 152-159.

链接本文:

http://www.zjujournals.com/eng/CN/10.3785/j.issn.1008-973X.2020.01.018        http://www.zjujournals.com/eng/CN/Y2020/V54/I1/152

图 1  MFA方法分析
图 2  改进后样本的运动趋势
图 3  采用K-L变换、核MMC、MFA和改进MFA对木马1的检测结果
图 4  采用K-L变换、核MMC、MFA和改进MFA对木马2的检测结果
图 5  不同规模硬件木马的检测结果对比
图 6  10个待测芯片的检测结果
图 7  采用改进MFA进行第1组实验的检测结果
1 DOFE J, FREY J, YU Q. Hardware security assurance in emerging IoT applications [C]// Proceedings of IEEE International Symposium on Circuits and Systems. Montreal: IEEE, 2016: 2050-2053.
2 BHUNIA S, ABRAMOVICI M, AGRAWAL D, et al Protection against hardware Trojan attacks: towards a comprehensive solution[J]. IEEE Design Test Computer, 2013, 30 (3): 6- 17
doi: 10.1109/MDT.2012.2196252
3 WANG X, TEHRANIPOOR M, PLUSQUELLIC J, et al. Detecting malicious inclusions in secure hardware: challenges and solutions [C]// Proceedings of IEEE International Workshop on Hardware-Oriented Security and Trust. Anaheim: IEEE, 2008: 15-19.
4 WOLFF F, PAPACHRISTOU C, BHUNIA S, et al. Towards Trojan free trusted ICs: problem analysis and detection scheme [C]// Proceedings of Design, Automation and Test in Europe. Munich: IEEE, 2008: 1362-1365.
5 CHAKRABORTY R S, NARASIMHAN S, BHUNIA S, et al. Hardware Trojan: threats and emerging solutions [C]// Proceedings of IEEE International Workshop on High Level Design Validation and Test Workshop. San Francisco: IEEE, 2009: 166-171.
6 AGRAWAL D, BAKTIR S, KARAKOYUNLU D, et al. Trojan detection using IC fingerprinting [C]// Proceedings of IEEE Symposium on Security and Privacy. Berkeley: IEEE, 2007: 296-310.
7 BALASCH J, GIERLICHS B, VERBAUWHEDE I. Electromagnetic circuit fingerprints for Hardware Trojan detection [C]// Proceedings of IEEE International Symposium on Electromagnetic Compatibility. Dresden: IEEE, 2015: 246-251.
8 XIAO K, ZHANG X H, TEHRANIPOOR M A clock sweeping technique for detecting hardware trojans impacting circuits delay[J]. IEEE Design and Test of Computers, 2013, 30 (2): 26- 34
doi: 10.1109/MDAT.2013.2249555
9 NOWROZ A N, HU K, KOUSHANFAR F, et al Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33 (12): 1792- 1805
doi: 10.1109/TCAD.2014.2354293
10 STELLARI F, SONG P, WEGER A J, et al. Verification of untrusted chips using trusted layout and emission measurements [C]// Proceedings of IEEE International Symposium on Hardware-Oriented Security and Trust. Arlington: IEEE, 2014: 19-24.
11 薛明富, 王箭, 胡爱群 自适应优化的二元分类型硬件木马检测方法[J]. 计算机学报, 2018, 41 (2): 439- 451
XUE Ming-fu, WANG Jian, HU Ai-qun Adaptive optimization of two-class classification-based hardware Trojan detection method[J]. Journal of Computers, 2018, 41 (2): 439- 451
doi: 10.11897/SP.J.1016.2018.00439
12 NARASIMHAN S, WANG X, DU D, et al. TeSR: a robust temporal self-referencing approach for hardware Trojan detection [C]// Proceedings of IEEE International Symposium on Hardware-Oriented Security and Trust. San Diego: IEEE, 2011: 71-74.
13 BANGA M, MICHAEL S H. A novel sustained vector technique for the detection of hardware Trojans [C]// Proceedings of IEEE International Conference on VLSI Design. New Delhi: IEEE, 2009: 327–332.
14 张鹏, 王新成, 周庆 基于投影寻踪分析的芯片硬件木马检测[J]. 通信学报, 2013, 34 (4): 122- 126
ZHANG Peng, WANG Xin-cheng, ZHOU Qing Hardware Trojans detection based on projection pursuit[J]. Journal on Communications, 2013, 34 (4): 122- 126
15 李雄伟, 王晓晗, 张阳, 等 一种基于核最大间距准则的硬件木马检测新方法[J]. 电子学报, 2017, 45 (3): 656- 661
LI Xiong-wei, WANG Xiao-han, ZHANG Yang, et al A new hardware Trojan detection method based on kernel maximum margin criterion[J]. Acta Electronica Sinica, 2017, 45 (3): 656- 661
doi: 10.3969/j.issn.0372-2112.2017.03.023
16 赵毅强, 刘沈丰, 何家骥, 等 基于自组织竞争神经网络的硬件木马检测方法[J]. 华中科技大学学报: 自然科学版, 2016, 44 (2): 51- 55
ZHAO Yi-qiang, LIU Shen-feng, HE Jia-ji, et al Hardware Trojan detection technology based on self-organizing competition neural network[J]. Journal of Huazhong University of Science and Technology: Natural Science Edition, 2016, 44 (2): 51- 55
17 YAN S, XU D, ZHANG B, et al Graph embedding and extensions: a general framework for dimensionality reduction[J]. IEEE Transactions on Pattern Analysis and Machine Intelligence, 2007, 29 (1): 40- 51
doi: 10.1109/TPAMI.2007.250598
18 何进荣, 丁立新, 李照奎, 等 基于边界判别投影的数据降维[J]. 软件学报, 2014, 25 (4): 826- 838
HE Jin-rong, DING Li-xin, LI Zhao-kui, et al Margin discriminant projection for dimensionality reduction[J]. Journal of Software, 2014, 25 (4): 826- 838
19 毛莎莎. 基于贪婪优化和投影变换的集成分类器算法研究[D]. 西安: 西安电子科技大学, 2014.
MAO Sha-sha. A study of classifier ensemble methods based on greedy optimization and projection transformation [D]. Xi'an: Xi'an University of Electronic Science and Technology, 2014.
[1] 周朝君,黄明辉,陆新江. 基于低维约束嵌入的分布参数系统建模[J]. 浙江大学学报(工学版), 2019, 53(11): 2154-2162.
[2] 张胜洲,孙玲玲,文进才,刘军. 漏/阻双模高性能D波段无源混频器[J]. 浙江大学学报(工学版), 2016, 50(9): 1815-1822.
[3] 张波,潘伟伟,叶翼,郑勇军,史峥,严晓浪. 基于模块化单元的测试结构阵列设计及其应用[J]. J4, 2013, 47(5): 837-842.
[4] 曹晓阳, 潘赟, 严晓浪, 宦若虹. 低面积-时间复杂度的离散余弦变换脉动结构[J]. J4, 2011, 45(4): 656-659.
[5] 孟昕, 沈海斌, 严晓浪. 基于数据流的SoC性能建模方法及实现[J]. J4, 2011, 45(2): 314-322.
[6] 孟昕, 沈海斌, 严晓浪. MetaHDL: 面向自动推断和参数追踪硬件描述域特定语言[J]. J4, 2010, 44(6): 1079-1085.
[7] 葛海通, 翁延玲, 严晓浪. 一种基于混合SAT求解器的RTL验证方法[J]. J4, 2010, 44(2): 289-293.
[8] 刘小明 邓水光 尹建伟 陈黎 冯志林 董金祥. 基于矩阵表示的局部敏感辨别分析[J]. J4, 2009, 43(2): 290-296.
[9] 徐孝如 赵梦恋 吴晓波 章丹艳 严晓浪. 有源功率因数校正控制芯片的设计与实现[J]. J4, 2008, 42(4): 618-621.
[10] 洪慧 韩雁 叶晓伟. 应用于等离子显示驱动的高压集成电路工艺[J]. J4, 2008, 42(10): 1715-1718.
[11] 金波. ASIC-PLC全数字式水轮机调速器[J]. J4, 2007, 41(4): 570-573.
[12] 杭国强 徐月华. 用于混合信号集成电路的低噪声电流型触发器[J]. J4, 2007, 41(4): 616-620.
[13] 赵梦恋 吴晓波 严晓浪. 一种智能化电源管理集成电路的研究[J]. J4, 2005, 39(7): 921-925.