Please wait a minute...
浙江大学学报(工学版)  2017, Vol. 51 Issue (7): 1453-1461    DOI: 10.3785/j.issn.1008-973X.2017.07.025
信息工程     
静态随机存储器双向互锁存储单元的抗老化设计
刘士兴, 范对鹏, 程龙, 王世超, 丁力, 易茂祥
合肥工业大学 电子科学与应用物理学院, 安徽 合肥 230009
Design for combating aging of static random access memory cell based on dual interlocked cell
LIU Shi-xing, FAN Dui-peng, CHENG Long, WANG Shi-chao, DING Li, YI Mao-xiang
College of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009, China
 全文: PDF(1290 KB)   HTML
摘要:

为了延长抗辐照静态随机存储器双向互锁存储单元(DICE)电路的使用时限,得到偏置温度不稳定性效应(BTI)老化效应对DICE单元性能的具体影响,提出抗老化设计方案.通过SPICE仿真实验,分析DICE单元的老化特性,发现因老化加重的读干扰和半选择干扰是影响DICE结构的SRAM单元稳定性和寿命的主要原因.针对DICE单元抗辐照结构的特性,提出新的DICE单元读写端口结构.通过在组成读写端口的4个晶体管之间加入额外的控制晶体管,阻断了DICE单元存储节点相连的路径,消除了读干扰和半选择干扰的影响,避免了单元的读故障和半选择故障的出现.改进后的DICE单元在读状态和半选择状态时的抗辐照能力与改进前相比得到了提升.通过仿真实验,验证了改进后DICE单元的功能正确性和抗老化有效性,直接减少了DICE单元经过108 s老化后22.6%的读失效率.

Abstract:

The specific influence of bias temperature instability (BTI) effect on performance of dual interlocked cell (DICE) in SRAM was obtained, and an anti-aging design was proposed in order to prolong service life of DICE Cell. The aging characteristics of DICE Cell were analyzed through SPICE simulation. The simulation results show that aging is mainly caused by the ever increasing read and half-selected disturb. The new read and write port of DICE cell was proposed through the use of specific feature of anti-irradiation structure of DICE cell. The path between storage nodes of DICE cell was blocked by adding four controlling transistors in read and write port. The read disturb and half-selected disturb were eliminated and the read failure was avoided. The ability to tolerant SEU was increased during read and half-select process. The simulation results showed that the functional correctness and anti-aging effectiveness of the improved DICE cell were verified, and the read failure rate after 108s aging was reduced by 22.6%.

收稿日期: 2016-04-26 出版日期: 2017-07-08
CLC:  TN47  
基金资助:

国家自然科学基金面上项目(61371025,61574052,61274036)

通讯作者: 易茂祥,男,教授.ORCID:0000-0002-5160-0933.     E-mail: mxyi126@126.com
作者简介: 刘士兴(1969—),男,副教授,从事可编程器件与系统设计的研究.ORCID:0000-0002-9906-1780.E-mail:liusx@hfut.edu.cn
服务  
把本文推荐给朋友
加入引用管理器
E-mail Alert
作者相关文章  

引用本文:

刘士兴, 范对鹏, 程龙, 王世超, 丁力, 易茂祥. 静态随机存储器双向互锁存储单元的抗老化设计[J]. 浙江大学学报(工学版), 2017, 51(7): 1453-1461.

LIU Shi-xing, FAN Dui-peng, CHENG Long, WANG Shi-chao, DING Li, YI Mao-xiang. Design for combating aging of static random access memory cell based on dual interlocked cell. JOURNAL OF ZHEJIANG UNIVERSITY (ENGINEERING SCIENCE), 2017, 51(7): 1453-1461.

链接本文:

http://www.zjujournals.com/eng/CN/10.3785/j.issn.1008-973X.2017.07.025        http://www.zjujournals.com/eng/CN/Y2017/V51/I7/1453

[1] DODD P E, MASSENGILL L W. Basic mechanisms and modeling of single-event upset in digital microelectronics [J]. IEEE Transactions on Nuclear Science, 2003, 50(3): 583-602.
[2] El-MALEH A H, DAUD K A K. Simulation-based method for synthesizing soft error tolerant combinational circuits [J]. IEEE Transactions on Reliability, 2015, 64(3): 935-948.
[3] TOURE G, HUBERT G, CASTELLANI-COULIEK, et al. Simulation of single and multi-node collection: Impact on SEU occurrence in nanometric SRAM cells [J]. IEEE Transactions on Nuclear Science, 2011, 58(3): 862-869.
[4] CALIN T, NICOLAIDIS M, VELAZCO R. Upset hardened memory design for submicron CMOS technology [J]. IEEE Transactions on Nuclear Science, 1996, 43(6): 2874-2878.
[5] JAHINUZZAMAN S, RENNIE D, SACHDEV M. A soft error tolerant 10T SRAM bit-cell with differential read capability [J]. IEEE Transactions on Nuclear Science, 2009, 56(3): 3768-3773.
[6] SHAH J S, NAIM D, SACHDEV M. A 32 kb macro with 8T soft error robust SRAM cell in 65-nm CMOS [J]. IEEE Transactions on Nuclear Science, 2015,62(3): 1367-1374.
[7] LI L X, LI Y Q, MA Y, et al. A novel asymmetrical SRAM cell tolerant to soft errors [C]//Canadian Conference on Electrical and Computer Engineering. Halifax: IEEE, 2015: 1403-1408.
[8] D'ALESSIO M, OTTAVI M, LOMBARDI F. Design of a nanometric CMOS memory cell for hardening to a single event with a multiple node upset [J]. IEEE Transactions on Device and Materials Reliability, 2014, 14(1): 127-132.
[9] 周恒,李磊.一种加固SRAM单元DDICE及外围电路设计[J].微电子学与计算机,2015, 32(5): 68-72. ZHOU Heng, LI Lei. A hardened SRAM cell-DDICE and peripheral circuits design [J]. Microelectronics and Computer, 2015, 32(5): 68-72.
[10] RAJAEI R, ASGARI B, TABANDEH M, et al. Design of robust SRAM cells against single-event multiple effects for nanometer technologies [J]. IEEE Transactions on Device and Materials Reliability, 2015, 15(3): 429-436.
[11] BANSAL A, RAO R, KIM J J, et al. Impacts of NBTI and PBTI on SRAM static/dynamic noise margins and cell failure probability [J]. Microelectronics Reliability, 2009, 49(6): 642-649.
[12] YANG H I, CHUANG C T, HWANG W. Impacts of NBTI and PBTI on power-gated SRAM with high-k metal-gate devices [C]//IEEE International Symposium on Circuits and Systems. Taipei: IEEE, 2009: 377-380.
[13] WANG K, CHEN L, YANG J. An ultra-low power fault tolerant SRAM design in 90 nm CMOS Electrical and Computer Engineering [C]//Canadian Conference on Electrical and Computer Engineering. St. John's: IEEE, 2009: 1076-1079.
[14] CHANG L, MONTOYE R K., NAKAMURA Y, et al. An 8T SRAM for variability tolerance and low-voltage operation in high performance caches [J]. IEEE Journal of Solid-State Circuits, 2008, 43(4): 956-963.
[15] 沈婧,薛海卫.基于DICE结构的SRAM抗辐照加固设计[J].电子与封装,2016,16(3): 26-30. SHEN Jing, XUE Hai-wei. Design of radiation hardened SRAM based on DICE [J]. Electronics and Packaging, 2016, 16(3): 26-30.
[16] LEE Z C, HO K M, KONG Z H, et al. NBTI/PBTI-aware WWL voltage control for half-selected cell stability improvement [J]. IEEE Transactions on Circuits and Systems Ⅱ: Express Briefs, 2013, 60(9): 602-606.
[17] RENNIE D, LI D, SACHDEV M, et al. Performance, metastability, and soft-error robustness trade-offs for flip-flops in 40 nm CMOS [J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2012, 59(8): 1626-1634.
[18] YANG H I, HWANG W, CHUANG C T. Impacts of NBTI/PBTI and contact resistance on power-gated SRAM with high-k metal-gate devices [J]. IEEE Transactions on Very Large Scale Integration Systems, 2011, 19(7): 1192-1204.
[19] YANG H I, YANG S C, HWANG W, et al. Impacts of NBTI/PBTI on timing control circuits and degradation tolerant design in nanoscale CMOS SRAM [J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2011, 58(6): 1239-1251.
[20] Predictive technology model [EB/OL]. [2011-06-01]. http://www.eas.asu.edu/~ptm.
[21] NAPHADE T, VERMA P, GOEL N, et al. DC/AC BTI variability of SRAM circuits simulated using a physics-based compact model [C]//IEEE International Reliability Physics Symposium. Waikoloa HI: IEEE, 2014: CA.2.1-CA.2.8.
[22] 李广林,张杰,商中夏,等.适应于动态电压频率调整的抗辐照SRAM设计[J].微电子学与计算机,2017,34(4): 33-38. LI Guang-lin, ZHANG Jie, SHANG Zhong-xia, et al. Microelectronics and Computer, 2017, 34(4): 33-38.
[23] 方海涛.高速低功耗嵌入式SRAM的设计[D].武汉:华中科技大学,2012. FANG Hai-tao. Design of high speed low power embedded SRAM. Wuhan: Huazhong University of Science and Technology, 2012.

[1] 吴世豪, 罗小华, 张建炜, 谈智涛. 基于FPGA的新边缘指导插值算法硬件实现[J]. 浙江大学学报(工学版), 2018, 52(11): 2226-2232.
[2] 蓝帆, 潘赟, 严晓浪, 宦若虹, CHENG Kwang-ting. 用于容错片上网络的可工作性评估框架[J]. 浙江大学学报(工学版), 2017, 51(7): 1437-1445.
[3] 孟建熠, 严晓浪, 葛海通. 基于指令回收的低功耗循环分支折合技术[J]. J4, 2010, 44(4): 632-638.